Дешифраторы. Виды двоичных дешифраторов

.

Микросхема К176ИД1, К561ИД1
Неполный двоично-десятичный дешифратор имеет 4 входа для приема двоичного кода и 10 выходов его десятичного эквивалента.

Активный уровень и входа и выхода – высокий. При подаче на микросхему двоичного кода в диапазоне 8-15 на всех выходах устанавливается низкий логический уровень (дешифрация не производится). Дополнительных входов для стробирования микросхема не имеет, тем не менее, расширение разрядности несложно реализовать, если пожертвовать двумя последними десятичными разрядами:

В приведенной схеме в качестве стробирующего сигнала для DD2 используется инвертированный старший разряд входного кода. При этом выводы 4,5 (старшие десятичные разряды 8,9) микросхем не используются, а схема представляет собой полный двоично-десятичный дешифратор на 4 бита.

На следующем рисунке за счет использования отдельной микросхемы для управления дешифраторами число выходов увеличено до 64 (6-ти байтный входной код).


——————————————-

Микросхема К176ИД2
Дешифратор-преобразователь. Предназначен для преобразования двоичного кода в код для семисегментного индикатора. Цепей для управления десятичной точкой в микросхеме не предусмотрено. Кроме собственно дешифратора микросхема имеет триггер-защелку, позволяющий запоминать текущие данные.

Имеет четырехразрядный вход данных и семь выходов для подключения семисегментного цифрового индикатора. Активные уровни входа и выхода высокие, но при необходимости могут инвертироваться сигналом по служебному входу S. При низком уровне на этом входе активный выходной сигнал высокий, при «1» на S – низкий. Это позволяет подключать цифровые матрицы как с общим анодом, так и с общим катодом без дополнительных инверторов. Еще один служебный вход К служит для управлением матрицы. «0» на входе К разрешает отображение, «1» гасит матрицу.

И третий служебный вход С служит для защелкивания информации, поступающей на вход дешифратора. При высоком уровне на С сигнал немедленно дешифруется и подается на индикатор. При изменении его на «0» входной код защелкивается и отображается независимо от изменений на входе до тех пор, пока уровень на входе С снова не станет высоким. Запоминание происходит по спаду высокого уровня.

Выходные ключи микросхемы К176ИД2 в состоянии выдерживать токи короткого замыкания численно равные уровню питающего напряжения (в мА) и потому могут быть нагружены непосредственно на светодиодные индикаторы (к примеру, АЛ305, АЛС324, АЛС321) без дополнительных усилителей тока.

Микросхема К176ИДЗ
Полный аналог К176ИД2 по расположению выводов и алгоритму работы. Отличие заключается в выходных ключах, выполненных по схеме с открытым стоком. Это позволяет непосредственно подключать к выходу дешифратора аноды люминесцентных индикаторов, требующих для своего питания относительно высокого напряжения (до 15 В). При использовании микросхемы совместно с такими индикаторами на служебный вход S нужно подать лог. «0».

——————————————-

Микросхема 564ИД4
Дешифратор-преобразователь. Предназначен для преобразования двоичного кода в код для семисегментного (в том числе и ЖК) индикатора. Цепей для управления десятичной точкой нет.

Основное отличие от К176ИД2 – наличие третьего вывода для питания выходных ключей, которые выдерживают напряжение до 15 В. Для противофазного питания ЖКИ существует специальный усилитель (вход S, выход Р). Рассмотрим его работу подробнее на примере подключения ЖК индикатора ИЖКЦ1-1/18.

Предположим, сам дешифратор как и все предыдущие узлы прибора питается напряжением 5 В (вывод16), а ЖК индикатору требуется переменное напряжение амплитудой 15 В. Для организации питания ЖКИ поступаем следующим образом: на вывод 7 подаем 15 В (третий вывод питания), а на вывод 6 (вход S) сигнал уровня ТТЛ (5 В) и частотой 100Гц. Этот сигнал проходит к выводу 1 (вывод Р) без инверсии, но амплитуда его увеличивается до напряжения 15 В.

Этот же сигнал при активном уровне (лог.1) инвертирует сигналы с выхода дешифратора (аналогично 176ИД2,3). Поскольку выходные ключи микросхемы питаются от источника 15 В, то уровень на них будет изменяться от 0 до 15 В с частотой 100 Гц, причем в противофазе с сигналом Р. Таким образом на активных сегментах индикатора будет присутствовать переменное напряжение, на неактивных – 0.

Стоит заметить, что дешифратор является полным – т.е. в состоянии отображать не только цифры от 0 до 9, но и символы «L», «Н», «Р», «А», «-» соответственно двоичному коду 10-14. При коде 15 все сегменты гасятся.

Хотя основное предназначение микросхемы – управление ЖК индикатором, ее выходной мощности достаточно для зажигания светодиодной матрицы (при напряжении питания до 10 В – даже без токоограничивающих резисторов). Изменяя уровень на входе S, можно питать матрицы как с общим анодом, так и с общим катодом. Выход Р при этом не используется.

——————————————-

Микросхема 564ИД5
Дешифратор отличается от 564ИД4 отсутствием выхода Р и имеет четырехразрядный регистр-защелку, аналогичную К176ИД2.

Управление регистром осуществляется по входу С: «1» — прямое прохождение кода на дешифратор и далее на выходы для подключения сегментов индикатора, «0» — защелкивание информации для отображения. В таком режиме микросхема не реагирует на изменение двоичного кода на входе. Защелкивание информации происходит в момент спада уровня на входе С.

Интересная особенность дешифраторов К176ИД2, К176ИД3, 564ИД4 и 564ИД5 – одинаковая разводка одноименных выводов входа и выхода.

——————————————-

Микросхема КР1561ИД6
Один корпус микросхемы содержит два независимых двоично-десятичных дешифратора на два входа и четыре выхода. Каждый дешифратор снабжен входом стробирования.

Активные уровни входа и выхода – высокие, входа стробирования – низкий. При «0» на входе S дешифратор работает (на выходе появляется десятичный эквивалент входного кода), при «1» — все выходы устанавливаются в «0».

Микросхема КР1561ИД7
Полный аналог КР1561ИД6 по разводке и алгоритму функционирования, но на выходах обоих дешифраторов стоят инверторы (активный уровень выхода – низкий).

Из-за наличия инверсных выходов микросхема идеально подходит для управления большинством КМОП дешифраторов при их каскадном включении. На рисунке ниже в схеме управления группой К561ИД1 применен один дешифратор микросхемы КР1561ИД7, что позволило построить дешифратор с 32 выходами всего на пяти корпусах.

Для построения полного дешифратора на 8 выходов к микросхеме КР1561ИД6 (выходной код – прямой) или КР1561ИД7 (выходной код – инверсный) достаточно добавить всего один инвертор:

——————————————-

3.1.2 Шифраторы

Шифрирование это способ сжатия данных за счет преобразования m -разрядного унитарного (десятичного) кода в n -разрядный двоичный или двоично-десятичный код (m > n ). Шифраторы (CD , coder ) выполняют функцию, обратную функции дешифратора. При поступлении сигнала на один из входов шифратора на его выходах формируется код, соответствующий номеру этого входа.

Полный шифратор (m n ) имеет m = 2 n входов и n выходов, если m < 2 n , то шифратор не полный. Также он может быть неприоритетным, если разрешена подача только одного активного сигнала или приоритетным, если допускается подача одновременно нескольких активных сигналов на входы.

Принцип работы полного неприоритетного шифратора (4 – 2) поясняется таблицей истинности (таблица 1).

Таблица истинности неприоритетного шифратора (4 – 2) Таблица 1

набора

Информационные входы

Выходы

X 3

X 2

X 1

X 0

F 1

F 0

Карты Карно для минимизации схемы шифраторов обычно не используются вследствие сложности составления при большом количестве переменных.

Из таблицы (1) следует, что младший разряд F 0 кода на выходе шифратора равен единице, когда на нечетных входах присутствует единица:


Старший разряд F 1 кода на выходе шифратора равен единице, когда на входах X 3 , X 2 присутствует единица:

Следовательно, схема шифратора (4 – 2) может быть реализована с помощью двух элементов 2ИЛИ (рис. 1, а).


Рис. 1 Схемы неприоритетного шифратора (4 – 2) на элементах 2ИЛИ (а), 2ИЛИ-НЕ (б)

Для инверсной записи (рис. 1, б):

Один из входных сигналов шифратора обязательно имеет единичное значение (таблица 1). Если на входах X 1 , X 2 , X 3 нулевые значения, это означает, ч то на входеX 0 логическая единица, соответствующая набору 0, и этот вход к схеме может быть не подключен (рис. 1, а). Аналогично для X 3 в схеме шифратора на рис. 1, б. Схемы шифраторов на рисунке отличаются зеркальной перестановкой входов (в обоих случаях младший разряд X 0 , старший X 3 ) и инвертированием выходных сигналов (рис.1, б).

У шифраторов обычно имеются служебные входы и выходы:

- Разрешающий (стробирующий) вход EI (EN ) для выбора времени срабатывания шифратора при условии EI =1, также для наращивания разрядности входного кода.

- Разрешающий выход EO (EN ), определяет отсутствие сигналов на всех информационных выходах (EO = 1). Используется для увеличения разрядности путем подключения дополнительных шифраторов, условие подключения EO =1.

- Разрешающий выход GS (CS ), указывает на наличие информационного сигнала хотя бы на одном входе, принимая значение GS = 1. Обеспечивает согласование работы шифратора и внешних устройств (микропроцессор). Может применяться в схеме наращивания разрядности шифратора для исключения ошибок преобразования кодов.

Одно из основных назначений шифратора – ввод данных в цифровые устройства с помощью клавиатуры. Шифраторы, которые при одновременном нажатии нескольких клавиш вырабатывают код только старшей цифры, называют приоритетными. Если эти шифраторы выявляют старшую (левую) единицу и формируют двоичный код соответствующего единице десятичного номера, то называются указателями старшей единицы (обозначение элемента HPR 1/ BIN ).

В таблице истинности указателя старшей единицы (таблица 2) символом «Х» обозначены значения входных переменных, которые не важны для устройства и могут быть равны 0 или 1. Интерес представляют единицы в старшем разряде соответствующего набора.

Символом « – » обозначены значения переменных, которые не поступают в шифратор, т.к. на разрешающем входе EI сигнал логического нуля, на выходе F 1 F 0 = 00.

Пример : если нажата клавиша старшего разряда Х 3 (набор 5), что соответствует кодам 3 10 = 11 2 , нажатие других клавиш должно игнорироваться.

Таблица истинности указателя старшей единицы (4 – 2) Таблица 2

набора

Служебные

Информационные

вход

выходы

Входы

Выходы

EI

GS

EO

X 3

X 2

X 1

X 0

F 1

F 0

В соответствии с правилом склеивания для выхода F 1 .

3. Функциональная схема, условное графическое обозначение и таблица истинности полного дешифратора на 3 входа.

4. Линейные дешифраторы: переключательная функция, УГО и схема.

5. Пирамидальные дешифраторы: переключательная функция, УГО и схема.

6. Многоступенчатые дешифраторы прямоугольного типа: переключательная функция, УГО и схема.

7. Тактируемые и дешифраторы интегрального исполнения.

Дешифратор - это комбинационный операционный узел, преобразующий входное слово в сигнал на одном из его выходов.

Таким образом, дешифратором называется узел, в котором каждой комбинации входных сигналов соответствует наличие сигнала на одном из выходов.

На рис.4 представлена функциональная схема дешифратора, имеющая n входов и 2 n -1 выходов.

Методика синтеза дешифраторов

Условия работы дешифратора на два входа можно представить таблицей истинности (табл.3). Количество выходов такого де­шифратора m = 2 2 = 4.

Таблица 3

Таблица истинности дешифратора 2×4

Входы

Выходы

Переключательные функции для выходов дешифратора соглас­но этой таблице истинности запишутся следующим образом:

Преобразуем выражения (4) для реализации в базисе И-НЕ:

Условные изображения дешифратора, применяемые при построении функциональных схем, показаны на рис.7, где а - общее обозначение дешифратора; б - обозначение матричного де­шифратора. Входы дешифратора помечаются десятичными числа­ми, изображающими двоичные веса, выходы - десятичными изо­бражениями соответствующих кодовых комбинаций.

Обозначение дешифраторов: 155ИД 1, 555ИД 6 и т.д.

3. Анализ работы шифраторов

Назначение и принцип действия шифраторов.

Рассмотрение вопроса осуществляется путем опроса обучаемых с мест и у доски в соответствии со следующим планом:

· Назначение

· Таблица истинности

· Способы синтеза схем

· Примеры простейших схем

Вопросы рассматриваемые с обучаемыми

Шифраторы:

1. Назначение, логика функционирования и классификация шифраторов.

2. Функциональная схема, условное графическое обозначение и таблица истинности шифратора на n входов.

3. Функциональная схема, условное графическое обозначение и таблица истинности шифратора на 4 входа.

4. Синтез шифраторов в различных базисах.

5. Принципы построения приоритетных шифраторов.

Шифратор представляет собой функциональный узел цифро­вой ЭВМ и предназначен для преобразования унитарного кода (код, в котором лишь одна переменная принимает единичное зна­чение) в некоторый (двоичный) позиционный код.

Иными словами, шифратор выполняет функции, обратные функциям дешифратора.

Полный шифратор имеет 2 m входов и m выходов. При этом, если подан входной сигнал на одну из входных цепей шифратора, то на его выходах формируется слово, соответствующее номеру возбужденной цепи.

Синтез равнозначного шифратора

Пусть m=2, тогда число входов шифратора равно четырем. Таблица функционирования такого шифратора бу­дет иметь следующий вид (табл.4).

Таблица 4

Таблица состояний шифратора 4×2

Входы

Выходы

X 0

X 1

X 2

X 3

Y 0

Y 1

Рис. 8б. Шифратор на 4 входов на базе элементов И-НЕ

Синтез приоритетного шифратора

Рассмотрим принцип функционирования шифратора «4× 2».

Таблица истинности для данного шифратора представлена в табл. 5. Из таблицы видно, что при построении приоритетного шифратора используются 1,2.4 и 8 наборы, для остальных наборов функция приобретает безразличное значение – Ф.

Мы рассмотрели одноступенчатый дешифратор (линейный) – он является наиболее быстродействующим, но его реализация при значительной разрядности входного слова затруднена, поскольку требует применения логических элементов с большим числом входов, что сопровождается большой нагрузкой на источники входных сигналов. Обычно одноступенчатыми выполняются дешифраторы на небольшое число входов, определяемое возможностями элементов применяемой серии микросхем. Поэтому зачастую количества выводов дешифратора не хватает для выбора необходимого количества устройств микропроцессорной техники. Используя два дешифратора с разрешающим входом Е, можно реализовать дешифратор с количеством выходов N = 2 n+1 (рис. 2.11.3).

Рис. 2.11.3. Дешифратор 3х8 на основе двух дешифраторов 2х4

На рис. 2.11.3 приведена схема комбинированного дешифратора 3х8, реализованная на двух полных дешифраторах 2х4. Таким образом можно из 2-х дешифраторов 3х8 создать дешифратор 4х16 и т.д. Разрешающий вход Е используется, как адресный разряд. При Е = 0 работает верхний дешифратор, при Е = 1 работает нижний дешифратор, при этом все выходы верхнего дешифратора равны 0.

Широко используется еще каскадный (пирамидальный) способ построения дешифраторов с большим числом выходов на микросхемах дешифраторов с меньшим числом выходов (рис.2.11.4) .

Для разрешения работы одного из дешифраторов 3х8 (DC2, DC3, DC4, DC5) на вход Е каждого дешифратора подается разрешающий или запрещающий сигнал от дешифратора DC1 (первый каскад), который управляется адресными разрядами А3, А4.


Рис. 2.11.4. Схема каскадного (пирамидального) включения дешифраторов

Адресные разряды А0, А1, А2 параллельно подаются на дешифраторы 2-го каскада. Общее число адресных разрядов увеличилось на 2 разряда.

Шифраторы. Шифраторы это устройства, предназначенные для преобразования унитарного кода в двоичный. На выходе шифратора появляется многоразрядный двоичный код, соответствующий десятичному номеру входа, на который подан активный логический уровень. Двоичные шифраторы выполняют операцию, обратную операции дешифраторов.

Шифратор иногда называют «кодером» (от англ. coder) и используют, например, для перевода десятичных чисел, набранных на клавиатуре кнопочного пульта управления, в двоичные числа. Если количество входов настолько ве­лико, что в шифраторе используются все возможные ком­бинации сигналов на выходе, то такой шифратор называ­ется полным. Число входов и выходов в полном шифраторе связано соотношением N = 2 n , где N - число входов, n - число выходов. Так, для пре­образования кода кнопочного пульта в четырехразрядное двоичное число достаточно использовать лишь 10 входов, в то время как полное число возможных входов будет рав­но 16 (n = 2 4 = 16), поэтому шифратор 10x4 будет неполным.

Рассмотрим пример построения шифратора для преоб­разования десятиразрядного единичного кода (десятичных чисел от 0 до 9) в двоичный код. При этом предполагает­ся, что сигнал, соответствующий логической единице, в каждый момент времени подается только на один вход.

Таблица истинности для шифратора приведена в таблице 2.11.3.

Используя данную таблицу, запишем логические выражения для выходных переменных, включая в логическую сумму те входные переменные, ко­торые соответствуют единице соответствующей выходной пере­менной.

Таблица истинности для дешифратора

Таблица 2.11.3.

Входы Выходы
X0 X1 X2 X3 X4 X5 X6 X7 X8 X9 A3 A2 A1 A0

Запишем логические уравнения для выходных переменных А0, А1, А2, А3:

А0 = X1 v X3 v X5 v X7 v X9

A1 = X2 v X3 v X6 v X7

A2 = X4 v X5 v X6 v X7

Для такого шифратора легко построить схему на логических элементах «ИЛИ» (рис. 2.11.5).

Рис. 2.11.5. Схема неполного шифратора 10х4

Методические указания к выполнению работы:

Запишите в отчете, как обычно, название работы, цель работы. Приведите определение дешифратора. Составьте таблицу истинности для дешифратора, имеющего 3 адресных входа. Запишите уравнения для каждого из 8-ми выходов дешифратора. Постройте схему. Соберите схему, реализующую функции дешифратора в Multisim. Исследуйте её работу.

Исследуйте работу микросхемы дешифратора 2х4. Соберите схему дешифратора, приведенную на рис. 2.11.4 используя только дешифраторы 2х4.
Получите временные диаграммы работы схемы. Чтобы показать все входные и выходные сигналы дешифратора используйте 2 анализатора.

Зарисуйте схему и поясните в отчете принцип ее работы. Приведите временные диаграммы. Временные диаграммы надо привести на одной странице, нельзя продолжать связанные по времени графики на другой странице. Все связи между сигналами должны быть наглядными.

Составьте таблицу истинности для полного шифратора 8х3. Запишите логические функции выходных переменных. Постройте и исследуйте схему шифратора. В отчете приведите таблицу истинности, уравнения, построенную по уравнениям схему, временные диаграммы.

Запишите соответствующие каждому пункту выполненной работы выводы.

Вопросы для подготовки к отчету:

1. Дайте определение дешифратора.

2. Дайте определение шифратора.

3. Что понимают под унитарным кодом?

4. Чем отличается полный дешифратор от неполного?

5. Чем отличается полный шифратор от неполного?

6. В чем отличие линейного дешифратора от пирамидального?

7. Больше быстродействие у линейного дешифратора или пирамидального?

8. Больше аппаратных затрат требуется для реализации линейного дешифратора или пирамидального?

9. Для чего применяют в вычислительной технике дешифраторы и шифраторы?

12. Лабораторная работа №12

Исследование мультиплексоров и демультиплексоров

Цель работы: Изучить принципы синтеза и работы мультиплексоров и демультиплексоров.

Задание: Произвести синтез схемы мультиплексора, исследовать работу схемы. Исследовать микросхему мультиплексора, построить и исследовать работу пирамидальной схемы. Произвести синтез схемы демультиплексора, исследовать работу схемы. Исследовать совместную работу мультиплексора и демультиплексора.

Теоретическое введение

Мультиплексором называется комбинационное логическое устройство, предназначенное для управляемой передачи данных от нескольких источников информации в один выходной канал. Входы мультиплексора подразделяются на информационные Д 0 , Д 1 , …… и управляющие (адресные) А 0 , А 1 , …, А n-1 .

Код, подаваемый на адресные входы, определяет, какой из информационных входов в данный момент передается на выход схемы. Поскольку n -разрядный двоичный код может принимать 2 n значений, то, если число адресных входов мультиплексора равно n , число его информационных входов должно равняться 2 n .

Построим таблицу истинности, отображающую работу мультиплексора с двумя адресными входами на основе определения. Обозначим в таблице A0 и A1 – адресные входы. D0, D1, D2, D3 – входы 4-х потоков данных, при установке адреса соответствующие данные будут передаваться на единственный выход мультиплексора Y (таблица 2.12. 1) .

Таблица имеет следующий вид:

Таблица 2.12. 1

Адрес Данные Выход
А1 А0 D0 D1 D2 D3 Y
D0 D1 D2 D3 D0
D0 D1 D2 D3 D1
D0 D1 D2 D3 D2
D0 D1 D2 D3 D3

Запишем уравнение для функции Y:

Y = A1*A0*D0 v A1*A0 D1 v A1 A0*D2 v A1 A0 D3.

Схема, реализующая функцию Y, может быть построена на 2-х инверторах, 4-х трехвходовых элементах «И» и четырехвходовом элементе «ИЛИ» (рис. 12.2.1).

Рис. 12.2.1. Схема мультиплексора 4-1

Можно для реализации этой же схемы собрать дешифратор, и с его помощью осуществлять переключение входов на выход Y (рис. 2.12.2).

Рис. 2.12.2. Схема мультиплексора и его условное обозначение

В тех случаях, когда функциональные возможности ИС мультиплексоров не удовлетворяют разработчиков по числу информационных входов, прибегают к их каскадированию с целью наращивания числа входов до требуемого значения. Наиболее универсальный способ наращивания размерности мультиплексора состоит в построении пирамидальной структуры, состоящей из нескольких мультиплексоров. При этом первый ярус схемы представляет собой столбец, содержащий столько мультиплексоров, сколько необходимо для получения нужного числа информационных входов. Все мультиплексоры этого столбца коммутируются одним и тем же адресным кодом, составленным из соответствующего числа младших разрядов общего адресного кода. Старшие разряды адресного кода используются во втором ярусе, мультиплексор которого обеспечивает поочередную работу мультиплексоров первого яруса на общий выход. Каскадная схема мультиплексора «16-1», построенная на мультиплексорах «4-1», показана на рис. 2.12.3.

Рис. 2.12.3. Каскадная схема мультиплексора 16-1

Типовое применение мультиплексора - это передача информации от нескольких разнесенных в пространстве источников (датчиков) информации на вход одного приемника.

Предположим, что измеряется температура окружающей среды в нескольких помещениях и результаты этих измерений должны быть введены в одно регистрирующее устройство, например ЭВМ. При этом, так как температура изменяется медленно, для получения достаточной точности совсем не обязательно измерять ее постоянно. Достаточно иметь информацию через некоторые фиксированные промежутки времени.

Функцию подключения различных источников информации к одному приемнику по заданной команде и выполняет мультиплексор.

Мультиплексор можно использовать в качестве универсального логического элемента для реализации любой логической функции от числа аргументов, равного числу адресных входов мультиплексора. Покажем это на примере логической функции, заданной таблицей истинности (табл. 2.12.2).

Таблица2.12.2

A2 A1 A0 Y A2 A1 A0 Y

Схема, реализующая данную функцию показана на рис. 2.12.4.

Рис. 2.12.4. Реализация комбинационной схемы при помощи мультиплексора

Демультиплексор – это комбинационная схема, имеющая один информационный вход (D), n управляющих (адресных) входов (А0, А1, …, Аn-1) и N = 2 n выходов (Y0, Y1, …, YN-1). Двоичный код, поступающий на адресные входы, определяет один из N выходов, на который передается значение переменной с информационного входа D. Демультиплексор реализует функцию, обратную функции мультиплексора. Он предназначен для разделения потока данных одного источника информации в несколько выходных каналов.

Таблица функционирования демультиплексора (табл.2.12.2), имеющего 4 информационных выхода (Y0, Y1, Y2, Y3) и n = 2 адресных входа (А0, А1), представлена ниже.

Таблица 2.12.2

Инф.вход Адрес Информационные выходы
D A1 A0 Y0 Y1 Y2 Y3
D D
D D
D D
D D

Уравнения, описывающие работу демультиплексора:

Y0 = D A1* A0*; Y1 = D A1*A0; Y2 = A1 A0*; Y3 = A1 A0.

Схема демультиплексора, построенная по данным уравнениям и его графическое изображение представлены на рис. 2.12.5.

Рис. 2.12.5. Схема демультиплексора "1-4" и его условное изображение

Функция демультиплексора легко реализуется с помощью дешифратора, если его вход “Разрешение” – Е использовать в качестве информационного входа демультиплексора, а входы 1, 2, 4 … - в качестве адресных входов демультиплексора А0, А1, А2, … Действительно, при активном значении сигнала на входе Е избирается выход, соответствующий коду, поданному на адресные входы. Поэтому интегральные схемы дешифраторов, имеющих разрешающий вход, иногда называют не просто дешифраторами, а дешифраторами-демультиплексорами.

Термином “мультиплексирование” называют процесс передачи данных от нескольких источников по общему каналу. В качестве устройства, осуществляющего на передающей стороне операцию сведения данных в один канал применяют мультиплексор. Подобное устройство способно осуществлять временное разделение сигналов, поступающих от нескольких источников, и передавать их в канал (линию) связи друг за другом в соответствии со сменой кодов на своих адресных входах.

На приемной стороне обычно требуется выполнить обратную операцию – демультиплексирование, т.е. распределение порций данных, поступивших по каналу связи в последовательные моменты времени, по своим приемникам. Эту операцию выполняет демультиплексор. Совместное использование мультиплексора и демультиплексора для передачи данных от 4-х источников к

4-м приемникам по общей линии иллюстрирует рис. 2.12.6.

Рис. 2.12.6. Совместное использование мультиплексора и демультиплексора для передачи данных


Похожая информация.


ШИФРАТОРЫ/ДЕШИФРАТОРЫ

Шифраторы.

Шифратор, (называемый так же кодером) - устройство, осуществляющее преобразование десятичных чисел в двоичную систему счисления. Пусть в шифраторе имеется m входов, последовательно пронумерованных десятичными числами (0, 1, 2, 3, ..., m - 1), и n выходов. Подача сигнала на один из входов приводит к появлению на выходах n- разрядного двоичного числа, соответствующего номеру возбужденного входа.

рис 5.17

рис 5.18

Очевидно, трудно строить шифраторы с очень большим числом входов m, поэтому они используются для преобразования в двоичную систему счисления относительно небольших десятичных чисел. Преобразование больших десятичных чисел осуществляется методами, приведенными в справочнике "Системы счисления"

Шифраторы широко используются в разнообразных устройствах ввода информации в цифровые системы. Такие устройства могут снабжаться клавиатурой, каждая клавиша которой связана с определенным входом шифратора. При нажатии выбранной клавиши подается сигнал на определенный вход шифратора, и на его выходе возникает двоичное число, соответствующее выгравированному на клавише символу.

Таблица 5.5

Десятичное
число

Двоичный код 8421

x 8

x 4

x 2

x 1

Таблица 5.6

Входной код 8421

Номер
выхода

x 8

x 4

x 2

x 1

На рис. 5.17 приведено символическое изображение шифратора, преобразующего десятичные числа 0, 1, 2, ..., 9 в двоичное представление в коде 8421. Символ CD образован из букв, входящих в английское слово CODER. Слева показано 10 входов, обозначенных десятичными цифрами 0, 1, ..., 9. Справа показаны выходы шифратора: цифрами 1, 2, 4, 8 обозначены весовые коэффициенты двоичных разрядов, соответствующих отдельным выходам.

Из приведенного в табл. 5.5 соответствия десятичного и двоичного кодов следует, что переменная x 1 на выходной шине 1 имеет уровень лог. 1, если имеет этот уровень одна из входных переменных y 1 , у 3 , у 5 , у 7 , у 9 . Следовательно, x 1 = y l / y 3 / y 5 / y 7 / y 9 .

Для остальных выходов x 2 = y 2 / y 3 / y 6 / y 7 ; x 4 = y 4 / y 5 / y 6 / y 7 ; x 8 = y 8 / y 9 .

Этой системе логических выражений соответствует схема на рис. 5.18,а. На рис. 5.18,б изображена схема шифратора на элементах ИЛИ-НЕ.

Шифратор построен в соответствии со следующими выражениями:

При этом шифратор имеет инверсные выходы.


При выполнении шифратора на элементах И-НЕ следует пользоваться следующей системой логических выражений:

В этом случае предусмотрена подача на входы инверсных значений, т. е. для получения на выходе двоичного представления некоторой десятичной цифры необходимо на соответствующий вход подать лог. 0, а на остальные входы - лог.1. Схема шифратора, выполненная на элементах И-НЕ, приведена на рис. 5.18,в.

Изложенным способом могут быть построены шифраторы, выполняющие преобразование десятичных чисел в двоичное представление с использованием любого двоичного кода,

Дешифраторы.

Для обратного преобразования двоичных чисел в небольшие по значению десятичные числа используются дешифраторы (называемые также декодерами). Входы дешифратора предназначаются для подачи двоичных чисел, выходы последовательно нумеруются десятичными числами. При подаче на входы двоичного числа появляется сигнал на определенном выходе, номер которого соответствует входному числу.

Дешифраторы имеют широкое применение. В частности, они используются в устройствах, печатающих на бумаге выводимые из цифрового устройства числа или текст. В таких устройствах двоичное число, поступая на вход дешифратора, вызывает появление сигнала на определенном его выходе. С помощью этого сигнала производится печать символа, соответствующего входному двоичному числу.

На рис. 5.19,а приведено символическое изображение дешифратора. Символ DС образован из букв английского слова DECODER. Слева показаны входы, на которых отмечены весовые коэффициенты двоичного кода. Справа - выходы, пронумерованные десятичными числами, соответствующими отдельным комбинациям входного двоичного кода. На каждом выходе образуется уровень лог. 1 при строго определенной комбинации входного кода.

Дешифратор может иметь парафазные входы для подачи наряду с входными переменными их инверсий, как показано на рис. 5.19,б.

По способу построения различают линейные и прямоугольные дешифраторы.

Линейный дешифратор.

Рассмотрим построение дешифратора, осуществляющего преобразование, заданное табл. 5.6.


(5.22)

(5.23)

Значения выходных переменных определяются следующими логическими выражениями:

В линейном дешифраторе выходные переменные формируются по (5.22) либо (5.23). При выполнении дешифратора на элементах И-НЕ пользуются (5.23), получая инверсии выходных функций. В этом случае каждой комбинации входного кода будет соответствовать уровень лог. 0 на строго определенном выходе, на остальных выходах устанавливается уровень лог. 1. На рис. 5.20 показана структура дешифратора, построенного на элементах И-НЕ, и его изображение в схемах. Структура имеет особенности, характерные для дешифраторов в интегральном исполнении:

для уменьшения числа входов формирование инверсий входных переменных осуществляется в самом дешифраторе;

рис 5.20

рис 5.21

подключенные непосредственно ко входам дополнительные инверторы уменьшают нагрузку со стороны дешифратора на его входные цепи.

Дешифратор с 16 выходами для дешифрирования всех возможных комбинаций четырехразрядного двоичного кода 8421 можно построить из двух рассмотренных дешифраторов с 10 выходами. На рис. 5.21 показана структура такого дешифратора. В каждом из дешифраторов используется по 8 выходов, которые и образуют требуемые 16 выходов (y 0 , y 1 , ..., y 15).


рис 5.22

Прямоугольный дешифратор.

Рассмотрим принцип построения прямоугольного дешифратора на примере дешифратора с 4 входами и 16 выходами.

Разобьем входные переменные x 8 , x 4 , x 2 , x 1 на две группы по две переменные в каждой: x 8 , x 4 , и x 2 , x 1 . Каждую пару переменных используем в качестве входных переменных отдельного линейного дешифратора на четыре выхода, как показано на рис. 5.22,а. Выходные переменные линейных дешифраторов определяются следующими логическими выражениями:

Эти дешифраторы выполняют функции первой ступени дешифратора.

Выходные переменные y 0 , y 1 , ..., y 15 прямоугольного дешифратора можно представить логическими выражениями, используя в них в качестве аргументов выходные переменные y" 0 , ..., y" 3 и y"" 0 , ..., y"" 3 линейных дешифраторов:

Эти логические операции выполняются в отдельном дешифраторе второй ступени, называемом матричным и состоящим из двух - входовых элементов. На рис. 5.22,б показано условное изображение матричного дешифратора, где помеченные десятичными числами две группы входов служат для подключения к выходам двух предварительных ступеней дешифрации. На рис. 5.22,в представлена структура прямоугольного дешифратора с использованием символов линейного и матричного дешифраторов.

Могут быть построены прямоугольные дешифраторы с числом ступеней, большим двух.

Применение прямоугольного дешифратора может оказаться более выгодным, чем использование линейного дешифратора, в тех случаях, когда велико число входов и нежелательно использование требующихся для построения линейного дешифратора элементов с большим числом входов. Однако прохождение сигналов последовательно через несколько ступеней в прямоугольном дешифраторе приводит к большей задержке распространения сигнала в нем.

Таблица 5.7

Код 8421

Код 2421

x 4

x 3

x 2

x 1

y 4

y 3

y 2

y 1

Преобразователи кодов

В цифровых устройствах часто возникает необходимость преобразования числовой информации из одной двоичной системы в другую (из одного двоичного кода в другой). Примером такого преобразования может служить преобразование чисел из двоичного кода 8421, в котором выполняются арифметические операции, в двоичный код 2 из 5 для передачи по линии связи. Эта задача выполняется устройствами, называемыми преобразователями кодов. Для преобразования кодов можно пользоваться двумя методами:

основанным на преобразовании исходного двоичного кода в десятичный и последующем преобразовании десятичного представления в требуемый двоичный код;

основанным на использовании логического устройства комбинационного типа, непосредственно реализующего данное преобразование.

Первый метод структурно реализуется соединением дешифратора и шифратора и удобен в случаях, когда можно использовать стандартные дешифраторы и шифраторы в интегральном исполнении.

Рассмотрим подробнее второй метод на конкретных примерах преобразования двоичных кодов.

Преобразование кода 8421 в код 2421.

Обозначим переменные, соответствующие отдельным, разрядам кода 8421, x 4 , x 3 , x 2 , x 1 , то же для кода 2421 y 4 , y 3 , y 2 , y 1 . В табл. 5.7 приведено соответствие комбинаций обоих кодов.

Каждая из переменных y 4 , y 3 , y 2 , y 1 может рассматриваться функцией аргументов x 4 , x 3 , x 2 , x 1 и, следовательно, может быть представлена через эти аргументы соответствующим логическим выражением. Для получения указанных логических выражений представим переменные y 4 , y 3 , y 2 , y 1 таблицами истинности в форме таблицы Вейча (рис 5.24.1).


рис 5.23

рис 5.24


рис 5.24.1

Получим минимальную форму логических выражений, представленных через операции И, ИЛИ, НЕ и через операцию И-НЕ:


На рис. 5.23 приведена логическая структура преобразователя кодов, построенная на элементах И-НЕ с использованием полученных логических выражений.

Преобразование кода 2421 в код 8421.

Для реализации данного преобразования (обратного по отношению к рассмотренному выше) требуется получить логические выражения для переменных x 4 , x 3 , x 2 , x 1 , используя в качестве аргументов переменные y 4 , y 3 , y 2 , y 1.


рис 5.24.2

Таблицы Вейча для переменных x 4 , x 3 , x 2 , x 1 представлены на рис. 5.24.2. Логические выражения для переменных x 4 , x 3 , x 2 , x 1:

Логическая структура преобразователя приведена на рис. 5.24.

Преобразователь для цифровой индикации.

Один из способов цифровой индикации состоит в следующем.

Таблица 5.10

Десятичная
цифра

Двоичный код 8421

Состояние элементов (z 1 , ..., z 7) и
значение управляющих
сигналов (y 1 , ..., y 7)

x 4

x 3

x 2

x 1

1

2

3

4

5

6

7

y 1

y 2

y 3

y 4

y 5

y 6

y 7

Имеется семь элементов, расположенных так, как показано на рис. 5.25,а. Каждый элемент может светиться либо не светиться, в зависимости от значения соответствующей логической переменной, управляющей его свечением. Вызывая свечение элементов в определенных комбинациях, можно получить изображение десятичных цифр 0, 1, 9 (рис. 5.25.б).

Десятичные цифры, отображение которых необходимо вызвать, задаются обычно в двоичном коде. При этом возникает задача формирования логических переменных y 1 , y 2 , ..., y 7 для управления отдельными элементами в устройстве индикации. Таблица истинности для этих переменных представлена в табл.5.10.

рис 5.25

При построении таблицы были приняты следующие условия: если элемент индикатора светится, то это означает, что он находится в состоянии лог. 1, если погашен, то он находится в состоянии лог. 0; управление элементом осуществляется таким образом, что высокий уровень лог. 1 на некотором входе индикатора вызывает гашение соответствующего элемента (т. е. чтобы i-й элемент был погашен и z i = 0, необходимо подать на 1-й вход индикатора управляющий сигнал y i = l). Таким образом, y i = i . Например, для высвечивания цифры 0 необходимо погасить 7-й элемент (z 7 =0), оставив остальные элементы в состоянии свечения; следовательно, при этом управляющий сигнал y 7 = l, остальные управляющие сигналы y l , ..., y 6 должны иметь уровень лог. 0.

рис 5.26

Формирование управляющих сигналов производится логическим устройством, для синтеза которого на рис. 5.26 построены таблицы истинности в форме таблиц Вейча отдельно для каждой переменной y l , ..., y 7 . Синтезируемое устройство является устройством с несколькими выходами и для получения минимальной схемы необходимо в таблицах Вейча построить минимальное число областей, обеспечивающих покрытие клеток, содержащих 1, во всех семи таблицах. Построение этих областей имеет следующие особенности. В таблицах переменных у 5 и y 6 использованы области 1 и V, которые используются в таблицах других переменных. Если вместо этих областей в таблицах переменных у 5 и y 6 построить области с большим охватом клеток, это вызовет увеличение общего количества областей и, следовательно, увеличится количество логических элементов, требуемых для формирования соответствующих им логических выражений. Выделенным областям соответствуют следующие логические выражения:


Теперь нетрудно записать логические выражения для выходных величин y l , ..., y 7:


Построенная в соответствии с этими выражениями схема преобразователя приведена на рис. 5.25,в.

Таблица 5.12

Тип логического элемента

Число элементов в корпусе микросхемы

Число элементов в преобразователе

Число корпусов микросхем

Инверторы

Двухвходовые элементы И-НЕ

Трехвходовые элементы И-НЕ

Четырехвходовые элементы И-НЕ

Общее количество корпусов микросхем

5 5 / 12

Определим количество микросхем, необходимых для построения преобразователя. При этом следует учитывать, что в корпусе выпускаемых промышленностью микросхем может содержаться несколько логических элементов. В табл. 12 приведен расчет количества корпусов микросхем.